翻訳と辞書
Words near each other
・ Wisconsin State University Conference
・ Wisconsin statistical areas
・ Wisconsin Supreme Court
・ Wisconsin Supreme Court election, 2011
・ Wisconsin Historical Society Press
・ Wisconsin Hockey Hall of Fame
・ Wisconsin Hoofers
・ Wisconsin Idea
・ Wisconsin Idea Theatre
・ Wisconsin in the American Civil War
・ Wisconsin Independent News Distributors
・ Wisconsin Indianhead Technical College
・ Wisconsin Institute for Torah Study
・ Wisconsin Institute of Certified Public Accountants
・ Wisconsin Institutes for Discovery
Wisconsin Integrally Synchronized Computer
・ Wisconsin Intercollegiate Athletic Conference
・ Wisconsin International Raceway
・ Wisconsin International University College
・ Wisconsin International University in Ukraine
・ Wisconsin Interscholastic Athletic Association
・ Wisconsin Investment Partners
・ Wisconsin Islands
・ Wisconsin Islands Wilderness
・ Wisconsin Jewish Chronicle
・ Wisconsin Junction, Wisconsin
・ Wisconsin Knowledge and Concepts Examination
・ Wisconsin Law Review
・ Wisconsin Leather Company Building
・ Wisconsin Ledge AVA


Dictionary Lists
翻訳と辞書 辞書検索 [ 開発暫定版 ]
スポンサード リンク

Wisconsin Integrally Synchronized Computer : ウィキペディア英語版
Wisconsin Integrally Synchronized Computer
The Wisconsin Integrally Synchronized Computer (WISC) was an early digital computer designed and built at the University of Wisconsin–Madison. Operational in 1954, it was the first digital computer in the state.
Pioneering computer designer Gene Amdahl drafted the WISC's design as his PhD thesis. The computer was built over the period 1951-1954. It had 1024 55-bit words (equivalent to about 7 Kb) of drum memory and an instruction rate of 60 operations per second, which was achieved by an early form of instruction pipeline. It was capable of both fixed and floating point operation.
==External links==

*(Oral history interview with Gene M. Amdahl. ) Charles Babbage Institute, University of Minnesota, Minneapolis. Amdahl starts by describing his early life and education, recalling his experiences teaching in the Advanced Specialized Training Program during and after World War II. Amdahl discusses his graduate work at the University of Wisconsin and his direction of the design and construction of the Wisconsin Integrally Synchronized Computer. Describes his role in the design of several computers for IBM including the STRETCH, IBM 701, 701A, and IBM 704. He discusses his work with Nathaniel Rochester and IBM's management of the design process for computers. He also mentions his work with Ramo-Wooldridge, Aeronutronic, and Computer Sciences Corporation.
* (Gene Amdahl's PhD thesis ) (PDF)
* (User's Manual ) (PDF)

抄文引用元・出典: フリー百科事典『 ウィキペディア(Wikipedia)
ウィキペディアで「Wisconsin Integrally Synchronized Computer」の詳細全文を読む



スポンサード リンク
翻訳と辞書 : 翻訳のためのインターネットリソース

Copyright(C) kotoba.ne.jp 1997-2016. All Rights Reserved.